504 прочтения · 1 год назад
Простой DDS генератор на Ардуино.
DDS - Direct Digital Synthesizer или прямой цифровой синтез. Всем доброго. Синтезатор построен на модуле Arduino. Модуль формирует последовательность импульсов на выводах D7-RX0, а резисторный ЦАП R1-R16 преобразует эту последовательность в непрерывный аналоговый сигнал. Устройство генерирует неплохую форму синусоидального напряжения - частотой от 20Гц до 20кГц. Так же этот генератор способен выдавать и прямоугольный сигнал указанных выше частот - если нужен меандр, сигнал следует снимать непосредственно с вывода D7 платы Arduino...
10 прочтений · 4 года назад
DD на Windows
Легендарная утилита для записи и создания двоичных файлов, встречайте порт на винду и с установщиком! Довольно часто системным администраторам приходится копировать различные двоичные данные. Например, иногда может понадобиться сделать резервную копию жесткого диска, создать пустой файл, заполненный нулями для организации пространства подкачки или другой виртуальной файловой системы. Да и используется эта утилита не только для такого, ей так же можно создать загрузочную флешку и многое другое. Я...